怎样用74ls161设计一个24进制的计数器

千签难解 1年前 已收到1个回答 举报

leiber515 幼苗

共回答了11个问题采纳率:100% 举报

LIBRARY Ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY count24 ISPORT(en,clk: IN STD_LOGIC; co : OUT STD_LOGIC; clr_l :IN STD_LOGIC; --清零端...

1年前

8
可能相似的问题
Copyright © 2024 YULUCN.COM - 雨露学习互助 - 17 q. 0.051 s. - webmaster@yulucn.com