设计一个四舍五入电路 电路输入为一位十进制数的8421码 当其值大于或等于5时 输出F为1 否则F的值为0

monicacheng2008 1年前 已收到1个回答 举报

冻僵滴小老虎 幼苗

共回答了25个问题采纳率:100% 举报

设a,b,c,d分别为8421的输入口,公式为F=a+b*c+b*d.你应该可以设计出电路了吧!不理解看表,写出真值表:十进制 BCD F0 0000 01 0001 02 0010 03 0011 04 0100 05 0101 16 0110 17 0111 18 1000 1

1年前

10
可能相似的问题
Copyright © 2024 YULUCN.COM - 雨露学习互助 - 16 q. 0.227 s. - webmaster@yulucn.com